Rapid Exploration of Accelerator-rich Architectures:

Automation from Concept to Prototyping

Full Day Tutorial: Saturday, October 15, 2016 at MICRO 2016

Hardware acceleration in the form of customized datapath and control circuitry tuned to specific applications has gained popularity for its promise to utilize transistors more efficiently. Historically, the computer architecture community has focused on general-purpose processors and extensive research infrastructure has been developed to support research efforts in this domain, such as power-performance modeling and workload characterization. Envisioning future heterogeneous, accelerator-centric computing systems with a diverse set of general-purpose cores and accelerators, researchers must add a set of new accelerator-centric research infrastructures to their toolboxes.

This tutorial will discuss state-of-the-art research infrastructures available for accelerator research ranging from applications to power-performance simulation to hardware prototyping. We will also organize a panel with invited researchers from both industry and academia to discuss what we need to do to build a healthy ecosystem to enable accelerator-rich architecture (ARA) research.


Tutorial Outline


Slides



Tutorial Organizers


Prof. David Brooks, Harvard University (dbrooks@eecs.harvard.edu)
Prof. Jason Cong, UCLA (cong@cs.ucla.edu)
Zhenman Fang, UCLA (zhenman@cs.ucla.edu)
Yakun Sophia Shao, NVIDIA Research (sshao@nvidia.com)
Sam Xi, Harvard University (samxi@eecs.harvard.edu)

Software Download


References


Supporting Address Translation for Accelerator-Centric Architectures
Yuchen Hao, Zhenman Fang, Jason Cong, and Glenn Reinman

International Symposium on High Performance Computer Architecture (HPCA), Feb 2017.


Co-Designing Accelerators and SoC Interfaces using gem5-Aladdin
Yakun Sophia Shao, Sam Likun Xi, Viji Srinivasan, Gu-Yeon Wei and David Brooks

International Symposium on Microarchitecture (MICRO), Oct 2016. [PDF] [slides] [bibtex]


A Quantitative Analysis on Microarchitectures of Modern CPU-FPGA Platforms,
Young-kyu Choi, Jason Cong, Zhenman Fang, Yuchen Hao, Glenn Reinman and Peng Wei

Design Automation Conference (DAC), June 2016.


Customizable Computing
Yu-Ting Chen, Jason Cong, Michael Gill, Glenn Reinman, and Bingjun Xiao

Morgan & Claypool, July 2015.


ARACompiler: A Prototying Flow and Evaluation Framework for Accelerator-Rich Architectures
Yu-Ting Chen, Jason Cong and Bingjun Xiao,

International Symposium on Performance Analysis of Systems and Software (ISPASS), March 2015.


PARADE: A Cycle-Accurate Full-System Simulation Platform for Accelerator-Rich Architectural Design and Exploration,
Jason Cong, Zhenman Fang, Michael Gill, Glenn Reinman

International Conference on Computer-Aided Design (ICCAD) 2015.


Toward Cache-Friendly Hardware Accelerators,
Yakun Sophia Shao, Sam Xi, Viji Srinivasan, Gu-Yeon Wei and David Brooks

HPCA Sensors and Cloud Architectures Workshop (SCAW), Feb 2015. [PDF] [slides] [bibtex]


MachSuite: Benchmarks for Accelerator Design and Customized Architectures,
Brandon Reagen, Bob Adolf, Yakun Sophia Shao, Gu-Yeon Wei and David Brooks

International Symposium on Workload Characterization (IISWC), October 2014. [PDF] [bibtex]


Aladdin: A Pre-RTL, Power-Performance Accelerator Simulator Enabling Large Design Space Exploration of Customized Architectures,
Yakun Sophia Shao, Brandon Reagen, Gu-Yeon Wei and David Brooks

International Symposium on Computer Architecture (ISCA), June 2014. [PDF] [slides] [bibtex]


Quantifying Acceleration: Power/Performance Trade-Offs of Application Kernels in Hardware,
Brandon Reagen, Yakun Sophia Shao, Gu-Yeon Wei and David Brooks

International Symposium on Low Power Electronics and Design (ISLPED), Sept 2013. [PDF] [bibtex]


ISA-Independent Workload Characterization and its Implications for Specialized Architectures,
Yakun Sophia Shao and David Brooks

International Symposium on Performance Analysis of Systems and Software (ISPASS), April 2013. [PDF] [slides] [bibtex]


Accelerator-rich CMPs: From Concept to Real Hardware
Yu-Ting Chen, Jason Cong, Mohammad Ali Ghodrat, Muhuan Huang, Chunyue Liu, Bingjun Xiao and Yi Zou,

International Conference on Computer Design (ICCD), Oct 2013.